Web Directory

  Tools and Equipment (280)

Submit a Featured Link: [$19.00] Submit a Regular Link: [$9.00]  

Nomoz Sub Categories

Regular Websites in this category

Sieghard Schiller GmbH & Co. Open in a new windowLink Details
- Manufacturers and installs automated semiconductor handling machines including substrate separators, and wafer loaders and unloaders for ovens and bonders. Other products include smart card and CD assemblers. Headquartered in Germany; site includes phot
- http://www.schiller-automation.com/

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
Maludai Technology Corp. Open in a new windowLink Details
- Manufacturers embossed carrier tape, heat sealing type cover tape, plastic reel and taping machine for 12mm to 56mm carrier tape.
- http://www.maludai.com.tw/

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
Accretech Ltd. Open in a new windowLink Details
- Specialized in the field of image processing, machine control and algorithm development for inspection tools for wafer inspection and mask inspection tools.
- http://www.accretech.jp/

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
Novellus Systems, Inc Open in a new windowLink Details
- Designs, manufactures, markets and services chemical vapor deposition equipment, used in fabricating wafers for integrated circuits. (Nasdaq: NVLS).
- http://www.novellus.com/

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
Mattson Technology, Inc. Open in a new windowLink Details
- Designs, manufactures and markets advanced fabrication equipment used in semiconductor manufacturing. (Nasdaq: MTSN).
- http://www.mattson.com/

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
Kulicke and Soffa Industries, Inc. Open in a new windowLink Details
- Designs, manufactures and sells semiconductor assembly equipment including wire bonders, dicing saws and die. (Nasdaq: KLIC).
- http://www.kns.com/

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
Electroglas, Inc. Open in a new windowLink Details
- Develops, manufactures, markets and services automatic wafer probing equipment for use in the fabrication of semiconductor devices. (Nasdaq: EGLS).
- http://www.electroglas.com/

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
Electro Scientific Industries, Inc. Open in a new windowLink Details
- Designs and manufactures sophisticated production equipment used by microelectronics manufacturers. (Nasdaq: ESIO).
- http://www.esi.com/

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
Cymer, Inc. Open in a new windowLink Details
- Provides excimer laser illumination sources for use in deep ultraviolet photolithography systems targeted at the pilot and volume production segments of the semiconductor manufacturing market. (Nasdaq: CYMI).
- http://www.cymer.com/

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
BTU International, Inc. Open in a new windowLink Details
- Provides thermal process solutions for the electronic assembly and semiconductor packaging markets, develops custom equipment for specialty applications needing high-temperature and atmosphere-control. (Nasdaq: BTUI).
- http://www.btu.com/

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
BE Semiconductor Industries NV Open in a new windowLink Details
- Designs, develops, manufactures, markets and services molding, trim and form, and selective plating and tin-lead plating equipment for the semiconductor industry's back-end assembly operations. (Nasdaq: BESI).
- http://www.besi.nl/

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
ADE Corp. Open in a new windowLink Details
- Designs, manufactures, markets inspection systems used in semiconductor wafer and integrated circuit fabrication, and in testing computer disks and disk drives. Headquartered in Westwood, Massachusetts. (Nasdaq: ADEX).
- http://www.ade.com/

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
Asyst Technologies, Inc. Open in a new windowLink Details
- Develops, manufactures and markets mini-environment systems for contamination control, material tracking products, and factory automation solutions. (Nasdaq: ASYT)
- http://www.asyst.com/

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
ASM International N.V. Open in a new windowLink Details
- Designs, manufactures, markets and services equipment and materials used to manufacture semiconductor devices. (Nasdaq: ASMI)
- http://www.asm.com/

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
ATMI Inc. Open in a new windowLink Details
- Manufactures point-of-use environmental equipment, thin film materials and delivery systems, and thin film deposition services to the semiconductor industry. (Nasdaq: ATMI)
- http://www.atmi.com/

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
Aehr Test Systems Open in a new windowLink Details
- Designs, engineers and manufactures massively parallel test systems, burn-in systems, die carriers, test fixtures and related accessories used in the semiconductor industry. (Nasdaq: AEHR).
- http://www.aehr.com/

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
Yield Werx Open in a new windowLink Details
- Offers semiconductor test data analysis and yield improvement.
- http://www.yieldpower.com/

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
Myriad Semiconductor Open in a new windowLink Details
- Myriad manufactures, supports, and sells semiconductor mask aligners and other photolithography equipment for production, R&D and university teaching environments.
- http://www.myriadsemiconductor.com

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
Hanmi Semiconductor Open in a new windowLink Details
- Manufacturer of back-end equipment in semiconductor industry.
- http://www.hanmisemi.com/

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
Theis Enterprises Open in a new windowLink Details
- Manufacturer of plasma etchers, parts, service training, diagnostic equipment. Primarily used in the semiconductor industry.
- http://www.theisenterprises.com/

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
Intellemetrics Open in a new windowLink Details
- Provides a range of thin film process control instrumentation including quartz crystal monitors, plasma monitors, optical monitors, and laser end point detectors.
- http://www.intellemetrics.com/

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
KLA-Tencor Corporation Open in a new windowLink Details
- Designs, manufactures, markets and services yield monitoring and process control systems for the semiconductor manufacturing industry. (Nasdaq: KLAC).
- http://www.kla-tencor.com/

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
MKS Instruments, Inc. Open in a new windowLink Details
- Supplies instruments and components used to measure, control, analyze and isolate gases in semiconductor and other manufacturing processes. (Nasdaq: MKSI).
- http://www.mksinst.com/

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
Plasma Equipment Technical Services, Inc. Open in a new windowLink Details
- Manufacturer of semiconductor processing equipment including rie, pecvd, ion milling, sputtering, barrel ashing and plasma etching systems.
- http://www.plasmaequip.com/

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
Quadrillion Corporation Open in a new windowLink Details
- Software for diagnosing semiconductor yield problems.
- http://www.quadrillion.com/

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
Raith GmbH Open in a new windowLink Details
- Offers hard- and software for SEM based E-beam lithography, defect review (FA) and CAD navigation (PC based) for science orientated customers, and the semiconductor industry.
- http://www.raith.de/

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
Thermonics Incorporated Open in a new windowLink Details
- Manufactures precision temperature forcing systems, temperature chuck systems, automatic tri-temperature robotic handlers, and custom thermal fixturing for the IC industry.
- http://www.thermonics.com/

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
Trazar Corporation Open in a new windowLink Details
- Manufacturer of automatic impedance matching networks and related products for semiconductor capital equipment manufacturers.
- http://www.trazar.com/

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
Techlink Semiconductors Open in a new windowLink Details
- Provide cost-effective equipment, service, spare parts and support to wafer fabs worldwide.
- http://www.techlinksemi.com/

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
Tokyo Electron Limited (TEL) Open in a new windowLink Details
- Manufacturer of semiconductor equipment. Information about subsidiaries, employment opportunities, training, and products.
- http://www.telusa.com

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
Transtronics, Inc. Open in a new windowLink Details
- Manufacturer of a pocket EPROM programmer with support for PIC, Flash, and EE applications.
- http://xtronics.com/memory/EPROM.htm

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
Yield Dynamics, Inc. Open in a new windowLink Details
- Yield management and process control solutions for the semiconductor industry.
- http://www.ydyn.com

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
Robotic Vision Systems, Inc. Open in a new windowLink Details
- Manufactures products that range from bar code scanners to fully automated wafer inspection systems to semiconductor array processing lines. (Nasdaq: ROBV).
- http://www.rvsi.com/

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
Semiconductor Wet Process Equipment Open in a new windowLink Details
- Process stations, plating benches, chemical delivery systems, quick dump rinsers, filter baths, circulators, quartz cleaning equipment, wafer storage cabinets.
- http://www.amerimade.com/

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
Semtec Open in a new windowLink Details
- Quality equipment and materials for test, assembly and packaging in the semiconductor industry.
- http://www.semtec.nl/

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
SOF Optoelectronics GmbH Open in a new windowLink Details
- Manufacture of equipment for the production of flat panel displays, semiconductors and gas purifiers.
- http://www.sof-optoelectronics.de

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
Special Purpose Machines Open in a new windowLink Details
- Specializes in custom and special-purpose machines for wafer and small-product handling applications.
- http://www.windrush.net/machines.htm

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
Apex Technologies Open in a new windowLink Details
- Design and manufacture of encapsulation molds, mold parts and related sub-assemblies for the semi-conductor industry.
- http://www.apextechspore.com/

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
BBF Custom Products Open in a new windowLink Details
- Manufacturer of plastic and stainless steel products for use in high purity and harsh chemical environments, including carts, holders, and cabinets. Site lists product photos and descriptions.
- http://www.bbfcustom.com

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
BW Consulting Open in a new windowLink Details
- Serving the semiconductor manufacturing industry including electro static chuck product line.
- http://www.bwconsulting.com

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
Ellipsiz Open in a new windowLink Details
- An engineering and advanced packaging solutions provider to the semiconductor industry in Asia.
- http://www.ellipsiz.com/

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
Hi-Yen Trading International Ltd. Open in a new windowLink Details
- Capital equipment for the semiconductor and smart card industry.
- http://www.hiyen.com.tw/

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
H-Square Corporation Open in a new windowLink Details
- Manufactures wafer handling tools, die and package pick and place tools and photomask handling tools.
- http://www.h-square.com/

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
Loomis Industries Inc Open in a new windowLink Details
- Designs semiconductor wafer scribing and dicing machines.
- http://www.loomisinc.com/

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
Martek Automation Open in a new windowLink Details
- Automated material handling for the micro-electronics industry.
- http://www.martekautomation.com/

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
NEC Machinery Corporation Open in a new windowLink Details
- Manufacturing machines for semiconductor, electronic device, factory automation, and single crystal.
- http://www.nml.co.jp/index_e.html

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
Rhetech, Inc Open in a new windowLink Details
- Manufactures, sells, modifies, and refurbishes used and surplus semiconductor equipment, including wet processing and Semitool equipment.
- http://www.rhetechinc.com/

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
Fast Gate Corporation Open in a new windowLink Details
- Designs and sells semiconductor manufacturing machines, and sells used equipment. Based in Japan. In Japanese and English.
- http://www.fastgate.co.jp/english/index.html

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
Planar Concern Open in a new windowLink Details
- Research and manufacturing of semiconductor equipment including photolithography machines, photomasks, mask aligners, dicing saws, and die bonders.
- http://www.planar.by/

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
L. V. Service Enterprise Open in a new windowLink Details
- Sells and services mask aligners and other new and used semiconductor processing machines. San Jose, California.
- http://www.lvservice.com/

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
Mercatron International ltd Open in a new windowLink Details
- Manufacturers tungsten filaments for vacuum metallising.
- http://www.mercatron.co.uk

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
TechStar Innovations Open in a new windowLink Details
- A semiconductor wire bonding specialist dealing with upgrading kits for improving the bonding performance of wire bonders. From Singapore.
- http://www.techstar-i.com/

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
Advanced Materials Engineering Research, Inc. Open in a new windowLink Details
- An independent materials characterization laboratory offering analytical services for the technological and semiconductor community.
- http://www.amerinc.com

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
Genmark Automation Open in a new windowLink Details
- Designs, develops and manufactures robotics, motion control and integrated tool automation systems for semiconductor, data storage, and flat panel displays.
- http://www.genmarkautomation.com/

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
Sel-Tek Limited. Open in a new windowLink Details
- Specialist suppliers of chemical pumps, d. I water heaters, hall effect measurement systems, manual and automated probe stations, rf test equipment, probe tips, micropositioners and filtration products to the semiconductor equipment industry.
- http://www.sel-tek.co.uk

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
Disco Corporation Open in a new windowLink Details
- Manufacturer of precision dicing saws and grinding wheels providing dicing, grinding, and polishing equipment and services for semiconductor and electronic components.
- http://www.disco.co.jp/

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
Harmbridge Ltd Open in a new windowLink Details
- Manufacturers and suppliers of new and refurbished equipment and spare parts for semiconductor manufacturing and test. Wafer probing systems and dicing saws a speciality.
- http://www.harmbridge.co.uk

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
PVA TePla AG Open in a new windowLink Details
- Providers of microwave plasma tools for ashing, resist strip, descum, polyimide and paralyne removal, surface cleaning and isotropic etch processing for semiconductor wafers, flat panel displays , mems and optoelectronics.
- http://www.pvatepla.com/

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
Datacon Technology GmbH Open in a new windowLink Details
- Precision assembly equipment for the advanced packaging market. Specializes in die bonding, RFID, and flip chip technologies
- http://www.datacon.at/

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
Mission Technology Inc. Open in a new windowLink Details
- Manufactures new and used SVG style 81xx, 86xx and 88xx series track system. Complete line of spare parts available.
- http://www.mission-tech.com

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
Op-Test Corporation Open in a new windowLink Details
- Designs and develops production and engineering test systems for photonic semiconductor devices.
- http://www.op-test.com/

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
Xenon Corporation Open in a new windowLink Details
- Manufactures pulsed UV systems for sterilization and curing of DVDs, semiconductors, fiberoptics, medical devices and electronics, featuring low heat, instant on/off and deep penetration. Also flashlamps and tall tower lamps
- http://www.xenoncorp.com

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
SELA Open in a new windowLink Details
- Automated manufacturing, inspection and analysis equipment for the semiconductor and optical components industries.
- http://www.sela.com

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
Mill Lane Engineering Co., Inc. Open in a new windowLink Details
- Manufacturer of PVD systems using sputtering, electron beam, and resistance evaporation for both R&D and production facilities.
- http://mill-lane.com

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
Transoptics, Inc. Open in a new windowLink Details
- Manufactures portable film thickness measurement systems that measure all transparent and semitransparent films on silicon and glass substrates.
- http://www.transoptic.com

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
NPTest, Inc., Open in a new windowLink Details
- Provides design, validation and test products and services.
- http://www.nptest.com

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
Hitachi High-Technologies Canada, Inc. Open in a new windowLink Details
- Collaboration microscopy and semiconductor workflow solutions. Serving the scientific, industrial and semiconductor manufacturing community.
- http://www.hhtc.ca

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
Willkommen zu DEK GmbH Open in a new windowLink Details
- Manufacturing solutions for the electronics circuit board assembly and semiconductor industries.
- http://www.dek.com/gmbh.nsf

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
Heidelberg Instruments Open in a new windowLink Details
- Manufactures direct write laser lithography systems. Applications include photomask, MEMS, BioMEMS, grey exposure and exposure through thick resist.
- http://himt.de

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
SperryTek Open in a new windowLink Details
- Provides service and parts supply for dicing saws and manual bonders.
- http://www.sperrytek.com

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
iCADA GmbH. Open in a new windowLink Details
- Integration of reticle stockers and inspection systems of all brands, and control of reticle shelves.
- http://www.icada.com/

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
Advanced Temperature Test Systems (ATT) GmbH Open in a new windowLink Details
- Offer a range of temperature control solutions (thermal wafer chuck) for the semiconductor industry.
- http://www.att-systems.com

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
SEMI Resource Open in a new windowLink Details
- Offers semiconductor manufacturing equipment, testing and packaging equipment, robotics, offshore automation systems, and cryogenic equipment.
- http://www.semiresource.com

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
American Probe & Technologies, Inc. Open in a new windowLink Details
- Offers accessories for analytical and production probing applications.
- http://www.americanprobe.com

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
Torr International, Inc. Open in a new windowLink Details
- Thin film deposition and etching systems.
- http://www.torr.com

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
Baccini Spa Open in a new windowLink Details
- Production of microelectronic application machines and manufacturing equipment.
- http://www.baccini.com/

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
ASML Holding NV Open in a new windowLink Details
- Provider of lithography systems for the semiconductor industry.
- http://www.asml.com/

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
Virginia Technologies, Inc. (VTI) Open in a new windowLink Details
- Manufactures optical based meters for measuring silicon based micro electro mechanical systems (MEMS) device thickness. Company profile and product technology description.
- http://www.vatechnologies.com/

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
Sierra Applied Sciences Inc. Open in a new windowLink Details
- Designs and manufactures magnetron cathodes for sputtering of thick, thin, bonded, ceramic, metal, and magnetic and non-magnetic targets. Product overviews and applications.
- http://www.sierraapplied.com/

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
Probe 2000 Open in a new windowLink Details
- Manufacturer of probe cards for semiconductor manufacturing and test.
- http://www.probe2000.net/

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
Ventex Corporation Open in a new windowLink Details
- Provides sales, service and spare parts for Canon photolithography equipment.
- http://www.ventexcorp.com/

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
CHA Industries Open in a new windowLink Details
- Manufactures evaporation and sputtering high vacuum deposition systems, LED optical systems and electron beam guns. Product specifications and recommended applications, plus company contact information.
- http://www.chaindustries.com/

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
Wordentec Limited Open in a new windowLink Details
- Supplier of vacuum coating, vacuum ovens, wafer and substrate handling, plasma generators, and other equipment for the thin film industry. Devon, UK.
- http://www.wordentec.com/

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
Magnetic Solutions Limited Open in a new windowLink Details
- Manufacturer of magnetic annealing systems used in processing disk drive read/write heads and in processing magnetoresistive memory (MRAM). Site includes product specifications, with brochures and schematics in PDF.
- http://www.magnetic-solutions.com/

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
Diamond Touch Technology Open in a new windowLink Details
- Engineers and manufactures dicing saws. Site includes product photos and specifications, and lists of current customers and authorized sales agents.
- http://www.diamondtouch.com

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
Frontier Semiconductor Inc. Open in a new windowLink Details
- Manufacturer of equipment for measuring deposited thin film flatness and stress in wafers and characterizing wafer adhesion materials. Site includes product descriptions and a description of how wafer stress is measured.
- http://www.frontiersemi.com/

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
Advanced Thermal Sciences Open in a new windowLink Details
- Manufacturer of standard and custom small footprint chillers and heat exchangers for coolant temperature control during semiconductor fabrication. Site lists product photos and descriptions.
- http://www.advancedthermalsciences.com/

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
Electronic Micro Systems Ltd Open in a new windowLink Details
- Manufacturers of hot plates and photo resist spinners. Site includes product data sheets and user manuals.
- http://www.emsl.fsnet.co.uk/

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
Advanced Technologies, Inc. Open in a new windowLink Details
- Manufactures process equipment for semiconductor and flat panel display applications, including plasma and ion etchers, and magnetron sputtering equipment. Site has product photos and descriptions.
- http://www.adv-technologies.com/

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
Credence Systems Corporation Open in a new windowLink Details
- Manufacture automatic test equipment (ATE) for analog, digital, memory, mixed-signal and wireless semiconductor devices. Online product portfolio and company news.
- http://www.credence.com/

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
Tiros Corporation Open in a new windowLink Details
- Manufactures automated thermal curing systems including robotic multi-chamber systems. Site describes products and includes streaming video overview of system.
- http://www.tiros.com/

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
Haiku Tech Open in a new windowLink Details
- Manufacturer and distributor of multilayer ceramic component manufacturing equipment. Site includes technical overview of wet stacking techniques for making inductors.
- http://www.haikutech.com

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
Genesis Development Open in a new windowLink Details
- Manufacturer of photolithographic and vapor treatment equipment for semiconductor wafers. Site includes product brochures in PDF.
- http://www.gensi.com

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
ASYS Automatic Systems GmbH Open in a new windowLink Details
- Supplier of handling equipment for wafers, substrates, and MEMS in controlled environments. Germany. Site lists product photos and specifications in PDF format.
- http://www.asys-micro.com

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
Surface Technology Systems plc (STS) Open in a new windowLink Details
- Manufacturer of plasma etch and deposition equipment for micro electromechanical systems (MEMS), photonics, wireless and data storage semiconductor applications.
- http://www.stsystems.com

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
Shinhan Precision Co. Ltd. Open in a new windowLink Details
- Manufactures lead frame stamping tool sets. Korea. Site in English and Korean.
- http://www.shprecision.co.kr/

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
GaLa Instrumente GmbH Open in a new windowLink Details
- Laboratory plasma instrument for plasma cleaning, plasma etching, plasma ashing, plasma surface modification, polymer analysis. Made in Germany
- http://www.plasmainstrument.com/

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
Microtool Open in a new windowLink Details
- Offers products and services for tool alignment and wafer handling.
- http://www.microtool.net

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
Spectel Research Corporation Open in a new windowLink Details
- Develops and makes semiconductor metrology products.
- http://www.spectelresearch.com/

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
Micro-Mechanics Open in a new windowLink Details
- Manufactures a range of tooling, precision parts, and consumable materials for the semiconductor, fiber-optic, and micro-electronic industries.
- http://www.micro-mechanics.com/

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
Laurell Technologies Corporation Open in a new windowLink Details
- Manufacturers of spin coaters, spin etchers, spin dryers, wet etch stations and other processing equipment for the semiconductor industry.
- http://www.laurell.com/

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
MAT-VAC Technology,Inc. Open in a new windowLink Details
- Supplier of high purity materials (sputter targets, evaporation sources) for thin film deposition; remanufactured sputtering and evaporation equipment: replacement parts; sputtering accessories including sputtering cathode.
- http://www.mat-vac.com/

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
King Yuan Electronics Co. Open in a new windowLink Details
- A service provider in mixed, logic and memory testing and assembly, providing turnkey solutions that include wafer sort, packaging, testing, burn-in and drop ship. From Taiwan.
- http://www.kyec.com.tw/

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
Quintel Corporation Open in a new windowLink Details
- Manufacturer of contact photolithography mask alignment equipment for production as well as research and development. Wafer sizes up to 8" with custom tooling available.
- http://www.quintelcorp.com/

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
FSIInternational, Inc. Open in a new windowLink Details
- Supplier of processing equipment used to manufacture microelectronics, including semiconductor integrated circuits and thin film heads for the computer hard drive industry. Develop, manufacture, market and support products used in the technology areas of
- http://www.fsi-intl.com/

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
August Technology Corp. Open in a new windowLink Details
- Micro defect inspection equipment for wafers and die.
- http://www.augusttech.com/

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
Epigress AB Open in a new windowLink Details
- Manufactures equipment for epitataxial (CVD) and bulk (sublimation).
- http://www.epigress.com/

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
Foothill Instruments, LLC Open in a new windowLink Details
- Manufacturer of film thickness metrology equipment for semiconductor and related industries.
- http://www.foothill-instruments.com

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
Suss Microtec Open in a new windowLink Details
- Manufacturer of mask aligners, bonders, flip chip bonders, spin coaters and probe systems for the mems, advanced packaging for the semiconductor markets.
- http://www.suss.com/

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
EUV Technology Open in a new windowLink Details
- Manufactures custom R&D instrumentation for the utilization and analysis of short wavelength electromagnetic radiation - soft x-rays and extreme ultraviolet (EUV).
- http://euvl.com/

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
KDF Open in a new windowLink Details
- KDF produces physical vapor deposition in-line batch systems used in the production of semiconductors, telecommunications and wireless networks, sensors, opto-electronics, flat panel displays and radio frequency power devices.
- http://www.kdf.com

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
IPS Tech Open in a new windowLink Details
- Manufacturer of ALD (Atomic Layer Deposition) systems, dry etchers, and sputtering systems for semiconductor processing.
- http://www.ips-tech.com/

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
ATTO Co.,Ltd Open in a new windowLink Details
- Gas cabinet, gas source manifold, nano gas purifier, on-line gas purifiers, gas management systems and total gas solutions for semiconductor and LCD manufacturing process.
- http://www.atto.co.kr/

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
Solitec Wafer Processing, Inc. Open in a new windowLink Details
- Processing equipment for the semiconductor industry.
- http://www.solitec-wp.com/

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
Kokusai Semiconductor Equipment Corporation Open in a new windowLink Details
- Manufactures diffusion and low-pressure chemical vapor deposition (LPCVD) furnaces as well as rapid thermal processing (RTP) equipment.
- http://www.ksec.com/

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
Silicet AG Open in a new windowLink Details
- Etching technologies for microsystems: patented wafer holder / wafer handling tools / wet etching benches
- http://www.silicet.de

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
TSST Open in a new windowLink Details
- Thin film technology, especially for ceramic materials. Both, deposition equipment and thin film products.
- http://www.tsst.nl/

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
ULTRA t Equipment Open in a new windowLink Details
- Provide cleaning systems for the semiconductor industry and microelectronics industries, meeting the most stringent requirements for a broad range of substrates.
- http://www.ultrat.com/

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
Tek-Vac Industries, Inc. Open in a new windowLink Details
- Manufacturers of semiconductor and materials process equipment.
- http://www.tekvac.com/

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
Applied Materials Open in a new windowLink Details
- Supplier of semiconductor processing equipment.
- http://www.appliedmaterials.com/

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
SITE Services, Inc. Open in a new windowLink Details
- Designs and produces photolithography equipment.
- http://www.site.com/

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
Windush Technology Ltd Open in a new windowLink Details
- Vacuum handling tools for semiconductor processing and other application where precision, safety and cleanliness are essential.
- http://www.wandshop.com/

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
GT Equipment Technologies Inc. Open in a new windowLink Details
- Manufacture of semi-custom and specialty equipment for the materials processing industries. Supplier to the global semiconductor and photovoltaic industries.
- http://www.gtequipment.com/

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
GCL Open in a new windowLink Details
- Produce etch processing tanks, ultra violet exposure units and light boxes for industry and photographers.
- http://www.gcl-etchtanks.co.uk

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
Lam Research Open in a new windowLink Details
- A supplier of wafer fabrication equipment.
- http://www.lamrc.com/

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
Busch Semiconductor Vacuum Group Open in a new windowLink Details
- Manufacturer and supplier of vacuum technology to the semiconductor and flat panel industry
- http://www.buschsvg.com

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
Jesagi Hankook Ltd. Open in a new windowLink Details
- Design and manufacture cutting tools, steel fiber, machine parts, down hole hammers.
- http://www.jesagi.com/

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
Rena Sondermaschinen GmbH Open in a new windowLink Details
- Supplies custom designed solutions and standard equipment for wet chemical processes in cleanrooms.
- http://www.rena.com/

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
Axcelis Technologies Home Page Open in a new windowLink Details
- Manufacturer of semiconductor processing equipment including ion implantion, thermal processing, photostabilization, and photoresist dry strip equipment
- http://www.axcelis.com/

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
CoorsTek, Inc. Open in a new windowLink Details
- Supplies critical components and assemblies to the semiconductor capital equipment market, including precision-machined metals, technical ceramics, and engineered plastics .
- http://www.coorstek.com/

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
Keko Equipment Open in a new windowLink Details
- Manufacturer of equipment for development of multilayer based components.
- http://www.keko-equipment.com/

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
X-FAB Open in a new windowLink Details
- Mixed signal foundry experts in semiconductors.
- http://www.xfab.com/

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
Epitaxial Technologies, LLC Open in a new windowLink Details
- Manufactures compound semiconductors and value-added wafer products for the rapidly expanding wireless and optoelectronic industries.
- http://www.epiwafers.com

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
Adventa Control Technologies Inc. Open in a new windowLink Details
- Semiconductor manufacturing software supplier.
- http://www.adventact.com/

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
EV Group Open in a new windowLink Details
- Manufacturer of semiconductor production equipment. Including ranges for resist processing, wafer cleaning, wafer bonding and SOI bonding.
- http://www.evgroup.com

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
Amerimade Technology, Inc. Open in a new windowLink Details
- Manufacture a full line of fully automated semiconductor process equipment including automated and plating stations.
- http://www.amerimade.cc/

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
Johnson Matthey Open in a new windowLink Details
- Produces products including palladium (pd) purifiers and getter and catalytic gas purifiers and fuel processors.
- http://www.pureguard.net/

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
Semitool, Inc. Open in a new windowLink Details
- Manufacturer of metallization and interconnect, electrochemical deposition (ECD), wet cleaning and etching, thermal diffusion, and auxiliary equipment for semiconductor manufacturing processes.
- http://www.semitool.com

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
Nikon Open in a new windowLink Details
- Photo lithography systems for semiconductor and fpd processing
- http://www.nikon.co.jp

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
RD Automation Flip Chip Die bonders Open in a new windowLink Details
- Manufacturer of flip chip die bonding equipment. Offers manual, semi-automatic to inline production models. Common applications: FPA, MCM, FCOG, Eutectic bonding. Various options available.
- http://www.rdautomation.com

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
Cost Effective Equipment Open in a new windowLink Details
- Manufactures spin coaters, spinners, spincoaters, developers, hotplates and other semiconductor wafer processing equipment. A division of Brewer Science Inc.
- http://www.brewerscience.com/cee/

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
Joosung Engineering Inc. Open in a new windowLink Details
- Chemical vapor deposition (CVD) equipment for semiconductor and LCD processing.
- http://www.jseng.com/

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
Orbis Technologies Ltd Open in a new windowLink Details
- Providers of plasma coating, etching, ashing and metallization products. Applications include semiconductor manufacturing.
- http://www.orbitech.co.uk/index.html

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
Greatek Technology Co., Ltd. Open in a new windowLink Details
- Designer and manufacturer of semiconductor process equipment.
- http://www.greatek-tech.com.tw

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
Visual Photonics Epitaxy Co., Ltd. Open in a new windowLink Details
- Manufacturer of MOCVD technology based EPI materials.
- http://www.vpec.com.tw/

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
Felcon Cleanrooms and Containment Ltd Open in a new windowLink Details
- Contamination controls including wet benches, cleanrooms, downflow, and laminar flow booths.
- http://www.felcon.co.uk/

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
Episil Technologies Inc. Open in a new windowLink Details
- Manufacturer of silicon epitaxial wafers foundry and provide buried layer epitaxial process services.
- http://www.episil.com/

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
Varian Semiconductor Equipment Associates Open in a new windowLink Details
- Offer high current, medium current, high energy fab tools, including ion implanters.
- http://www.vsea.com/

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
Electro Mechanical Services Open in a new windowLink Details
- Supplier of technical products and services to laboratories and manufacturers in New Mexico.
- http://www.emsi-usa.com/

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
DNS Korea Co., Ltd. Open in a new windowLink Details
- Wet cleaning system, spinners, developers and other semiconductor equipment and FPD equipment.
- http://www.kdns.co.kr/

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
K.C.TECH Co., Ltd Open in a new windowLink Details
- Gas cabinet, wet stations. Flash site.
- http://www.kctech.co.kr/

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
Cascade Microtech Open in a new windowLink Details
- Manufacturer of probe stations and low current wafer probing solutions from probe cards to RF microwave prober applications.
- http://www.cmicro.com

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing
Fine Semi Tech Open in a new windowLink Details
- Pellicles for photomask processing, chillers, pellicle mounters for semiconductor and FPD processing.
- http://www.fstc.co.kr/

Lock this listing - So it can't be removedLock this listing - and upgrade it to FeaturedReport this listing

Submit a Featured Link: [$19.00]  Submit a Regular Link: [$9.00]